CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - da vhdl

搜索资源列表

  1. 14.Anvyl_PmodDA2_Demo

    0下载:
  2. 用VHDL写的da程序,使用与xilinx开发板。-Da program written using VHDL, use and xilinx development board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:827840
    • 提供者:li
  1. sbq

    1下载:
  2. 数字示波器VHDL源代码,通过da,ad转换器和fpja开发板实现功能。-Digital oscilloscope VHDL source code, through da, ad converters and fpja development board to achieve functional.
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-03
    • 文件大小:348259
    • 提供者:张益宁
  1. sbq

    1下载:
  2. 基于fpga和传统示波器工作方式的vhdl程序,通过ad0809采样信号(可兼容tlc5510)再经由8位da转换输出,同时输出外触发锯齿波,建议使用感性小的示波器探头,否则锯齿波低频时会出现失真-Fpga-based and traditional ways of working oscilloscope vhdl procedures, through ad0809 sampling signal (compatible tlc5510) and then through eight da
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:6238208
    • 提供者:朱志超
  1. FPGAVHDL

    0下载:
  2. vhdl例程代码大全,包含流水灯,数码管,AD,DA转换等-Guinness vhdl code routines, including water lights, digital, AD, DA conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:4043506
    • 提供者:邹亮亮
  1. linexp2

    0下载:
  2. AD 和DA之间的转换电路设计,使用VHDL实现编程-AD and DA conversion between circuit design using VHDL implementation programming. . .
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-02
    • 文件大小:663266
    • 提供者:林波
  1. da2c

    0下载:
  2. VHDL硬件描述语言实现DA转化-In quurtus call half adder to achieve 16-bit serial adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2574
    • 提供者:lemony
  1. pwm_vhdl

    0下载:
  2. pwm的vhdl相关程序,可利用其调节占空比实现DA转换的,还有其他功能也能实现-pwm of vhdl procedures, you can use it to adjust the duty cycle to achieve DA conversion, as well as other features can also be achieved
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:709875
    • 提供者:杨锦钊
  1. daconfig

    0下载:
  2. 一般DA模数转换器的VHDL配置程序,输入为14位串口输出,状态机实现的。-General AD ADC VHDL configuration program, the output is 14 serial output, the state machine implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:847
    • 提供者:黄建华
  1. ADC_DAC_V2.0_EP2C35Q240C8

    0下载:
  2. 基于vhdl的AD DA 高速转换,EP3C25Q240-Based vhdl of AD DA conversion speed, EP3C25Q240
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:915744
    • 提供者:刘诗男
  1. example16-dac7512-sina-wave-ok

    0下载:
  2. VHDL 基于cpld EPM570的DA转换代码-VHDL CPLD EPM570 the DA conversion code based on
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:284985
    • 提供者:HAM
  1. DDS

    0下载:
  2. 基于FPGA的数字信号合成器(DDS),采用VHDL语言编写,能够实现正弦波、三角波、方波、锯齿波这四种波形的产生。 提示:最后输出的模块是串行DA,可根据具体情况更改驱动。-Digital synthesizer (DDS) based on FPGA, using VHDL language, to achieve sine wave, triangle wave, square wave, sawtooth waveform generation four. Tip: The la
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2800194
    • 提供者:康二栋
  1. DDS

    0下载:
  2. DDS的FPGA实现(VHDL),只可调频,调幅可于外部DA实现。(内附三角波、正弦波、方波的rom调用)-DDS on FPGA (VHDL), only FM, AM can be implemented in an external DA. (With triangular wave, sine wave, square wave rom call)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:546860
    • 提供者:WSong
  1. gensin

    0下载:
  2. 用fpga控制da发一定带宽正弦信号,用vhdl编写,用nco-Fpga controlled by a band-da made a sinusoidal signal, written in vhdl, with nco
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1367796
    • 提供者:任天鹏
  1. sanjiao

    0下载:
  2. 使用VHDL编写三角波输出,其中DA使用的是DAC902,一个12位的DA转换芯片(Use VHDL to write triangle wave output, including DA using DAC902, a 12 DA conversion chip)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:285696
    • 提供者:gemini48
« 1 2 3 4»
搜珍网 www.dssz.com